diff options
| author | Kévin Le Gouguec <kevin.legouguec@airbus.com> | 2019-03-27 16:48:04 +0100 |
|---|---|---|
| committer | Kévin Le Gouguec <kevin.legouguec@airbus.com> | 2019-03-27 16:48:04 +0100 |
| commit | 8a86451329865a48eaabbaf18c8165ca5a141ee1 (patch) | |
| tree | f3589ad94f2ace79e879ef1f92f1f8da8baa9374 /src/add_vhdltbc/encryptdecrypt/store_rtk.vhd | |
| parent | 9ab117657e31caea75e11170470efc7a02011e84 (diff) | |
| download | lilliput-ae-implem-8a86451329865a48eaabbaf18c8165ca5a141ee1.tar.xz | |
Quelques corrections de dernière minute sur les implems VHDL
Diffstat (limited to 'src/add_vhdltbc/encryptdecrypt/store_rtk.vhd')
| -rw-r--r-- | src/add_vhdltbc/encryptdecrypt/store_rtk.vhd | 47 |
1 files changed, 0 insertions, 47 deletions
diff --git a/src/add_vhdltbc/encryptdecrypt/store_rtk.vhd b/src/add_vhdltbc/encryptdecrypt/store_rtk.vhd deleted file mode 100644 index 2c23f69..0000000 --- a/src/add_vhdltbc/encryptdecrypt/store_rtk.vhd +++ /dev/null @@ -1,47 +0,0 @@ --- Implementation of the Lilliput-TBC tweakable block cipher by the --- Lilliput-AE team, hereby denoted as "the implementer". --- --- For more information, feedback or questions, refer to our website: --- https://paclido.fr/lilliput-ae --- --- To the extent possible under law, the implementer has waived all copyright --- and related or neighboring rights to the source code in this file. --- http://creativecommons.org/publicdomain/zero/1.0/ - -library IEEE; -library work; -use IEEE.numeric_std.ALL; -use IEEE.STD_LOGIC_1164.ALL; -use work.crypt_pack.ALL; - - -entity store_rtk is - Port ( - key_i : in type_key; -- Etat d'entrée - key_o : out type_key; -- Etat de sortie - round_number_i : in integer; - initroundkey_i : in std_logic; - clock_i : in std_logic; -- Permet de gérer la clock - reset_i : in std_logic); -end store_rtk; - -architecture store_rtk_arch of store_rtk is - -signal store_rtk : type_stored_key; - -begin - -process(reset_i, clock_i) -- On définit ici un process car les fonctions ne doivent pas se faire en même temps -begin - if(reset_i = '0') then - key_o <= (others => (others => (others => '0'))); --si rest_i est nul c'est que les valeurs de state_o sont nuls ; - elsif(clock_i'event and clock_i = '1') then -- Dans le cas d'un front descendant d'horloge state_o prend la valeur de state_i. On utilise un front descendant d'horloge pour un soucis de synchronisation avec sbox - store_rtk <=store_rtk;
- if initroundkey_i='1' then - store_rtk(round_number_i) <= key_i; - end if; - key_o<= store_rtk(round_number_i); - end if; -end process; - -end store_rtk_arch;
\ No newline at end of file |
