diff options
| author | Kévin Le Gouguec <kevin.legouguec@airbus.com> | 2019-03-26 15:04:31 +0100 |
|---|---|---|
| committer | Kévin Le Gouguec <kevin.legouguec@airbus.com> | 2019-03-26 15:44:53 +0100 |
| commit | a555bb68a86a8d57cd3cae3d5e3c14acfda6fd6d (patch) | |
| tree | 7a7485852756a76085c54bb7d863d5c726a480c9 /implementations/vhdl/Encrypt/lilliputtbcii128v1/tb | |
| parent | 89a026b31fa8a65c756eed53cada41fab99d2edc (diff) | |
| download | lilliput-ae-implem-a555bb68a86a8d57cd3cae3d5e3c14acfda6fd6d.tar.xz | |
[implem-vhdl] Factorisation du code
Diffstat (limited to 'implementations/vhdl/Encrypt/lilliputtbcii128v1/tb')
| -rw-r--r-- | implementations/vhdl/Encrypt/lilliputtbcii128v1/tb/top_tb.vhd | 93 |
1 files changed, 0 insertions, 93 deletions
diff --git a/implementations/vhdl/Encrypt/lilliputtbcii128v1/tb/top_tb.vhd b/implementations/vhdl/Encrypt/lilliputtbcii128v1/tb/top_tb.vhd deleted file mode 100644 index 8992745..0000000 --- a/implementations/vhdl/Encrypt/lilliputtbcii128v1/tb/top_tb.vhd +++ /dev/null @@ -1,93 +0,0 @@ -library IEEE; -library work; -use IEEE.numeric_std.all; -use IEEE.std_logic_1164.all; -use work.crypt_pack.all; - - -entity top_tb is -end top_tb; - -architecture top_tb_arch of top_tb is - -component top is port ( - start_i : in std_logic; - clock_i : in std_logic; - reset_i : in std_logic; - data_i : in bit128; - key_i : in bit_key; - data_o : out bit128; - tweak_i : in bit_tweak; - liliput_on_out : out std_logic - ); -end component; - -signal start_i_s, clock_i_s, reset_i_s : std_logic := '0'; -signal data_i_s : bit128; -signal key_i_s : bit_key; -signal tweak_i_s : bit_tweak; -signal data_o_s : bit128; -signal liliput_on_o_s : std_logic; - - -begin -DUT : top -port map( - start_i => start_i_s, - clock_i => clock_i_s, - reset_i => reset_i_s, - data_i => data_i_s, - key_i => key_i_s, - tweak_i => tweak_i_s, - data_o => data_o_s, - liliput_on_out => liliput_on_o_s -); ------------KEY128 TWEAK128---------- -data_i_s <= X"0F0E0D0C0B0A09080706050403020100"; -key_i_s <= X"0F0E0D0C0B0A09080706050403020100"; -tweak_i_s <= X"0F0E0D0C0B0A09080706050403020100"; -----RESULT X"ddb2ef63ab68a803679590e8c85888ca"; - ------------KEY128 TWEAK192---------- ---data_i_s <= X"0F0E0D0C0B0A09080706050403020100"; ---key_i_s <= X"0F0E0D0C0B0A09080706050403020100"; ---tweak_i_s <= X"17161514131211100F0E0D0C0B0A09080706050403020100"; -----RESULT X"e5ce0026af060b52c2ceb2e610a2958d"; - ------------KEY192 TWEAK128---------- ---data_i_s <= X"0F0E0D0C0B0A09080706050403020100"; ---key_i_s <= X"17161514131211100F0E0D0C0B0A09080706050403020100"; ---tweak_i_s <= X"0F0E0D0C0B0A09080706050403020100"; -----RESULT X"31a0db08b76a1f7c646cbe506860b103"; - ------------KEY192 TWEAK192---------- ---data_i_s <= X"0F0E0D0C0B0A09080706050403020100"; ---key_i_s <= X"17161514131211100F0E0D0C0B0A09080706050403020100"; ---tweak_i_s <= X"17161514131211100F0E0D0C0B0A09080706050403020100"; -----RESULT X"75f7fe11677769882102d57daac1464d"; - ------------KEY256 TWEAK128---------- ---data_i_s <= X"0F0E0D0C0B0A09080706050403020100"; ---key_i_s <= X"1f1e1d1c1b1a191817161514131211100F0E0D0C0B0A09080706050403020100"; ---tweak_i_s <= X"0F0E0D0C0B0A09080706050403020100"; -----RESULT X"4ecbf0236fbf05cefff41d9900efab8a"; - ----------KEY256 TWEAK192---------- ---data_i_s <= X"0F0E0D0C0B0A09080706050403020100"; ---key_i_s <= X"1f1e1d1c1b1a191817161514131211100F0E0D0C0B0A09080706050403020100"; ---tweak_i_s <= X"17161514131211100F0E0D0C0B0A09080706050403020100"; ---RESULT X"3084f49f1927b4c090f9612718ff35d3"; - -clock_i_s <= not(clock_i_s) after 100 ns; -start_i_s <= '1'; -reset_i_s <= '0' , '1' after 100 ns; - -end top_tb_arch; - -configuration top_tb_conf of top_tb is - for top_tb_arch - for DUT : top - use entity work.top(top_arch); - end for; - end for; -end configuration top_tb_conf; |
